Ask Your Question
0

CLOCK_DEDICATED_ROUTE约束语句的使用条件?

asked 2018-11-13 01:14:52 -0600

nick qian gravatar image

出现这个warning怎么办? [Place 30-575]Sub-optimal placement for a clock-capable IO pin and MMCM pair. If this sub optimal condition is acceptable for this design, you may use the CLOCKDEDICATEDROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.

edit retag flag offensive close merge delete

1 answer

Sort by » oldest newest most voted
0

answered 2018-11-13 03:01:03 -0600

Billy Jiang gravatar image

如果时钟输入引脚需要驱动不同时钟域的CMT(MMCM/PLL)模块, 那么需要约束CLOCKDEDICATEDROUTE=BACKBONE。

set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets clk_in]
edit flag offensive delete link more

Your Answer

Please start posting anonymously - your entry will be published after you log in or create a new account.

Add Answer

Question Tools

1 follower

Stats

Asked: 2018-11-13 01:14:52 -0600

Seen: 2,061 times

Last updated: Nov 13 '18